国际工程承包合同范文:在没有时钟信号源做输入的情况下,如何用VHDL语言编一个1Hz的时钟信号输出

来源:百度文库 编辑:神马品牌网 时间:2024/04/30 07:50:13
请帮忙编出VHDL程序

或者用MAX+PlusII图形编辑器做设计时有没有现成的时钟输入供选择,用的话在哪里选,请详细说明,,谢谢!

昨天的网线断了,没说完。
上面的程序是有条件的,没有输入的信号做基础,拿什么分频啊,
还是加晶振,只要几伏的电压就行了。没合适的再分频,
我用过的晶振多数是5v的电压,收音机的例外你的板子最好用实验电源,如果是干电池的话,可能带不动CPLD的芯片或者输出的值达不到要求。电路越复杂,工作的电流要求越高。

评论 ┆ 举报

最佳答案此答案由提问者自己选择,并不代表百度知道知识人的观点

回答:laoma133133
新手
5月7日 19:30 下面是一四位加法器:
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;

entity ADDER1 is
port
( A : in UNSIGNED (3 downto 0);
B : in UNSIGNED (3 downto 0);
Cin : in STD_LOGIC ;
BCDout : out STD_LOGIC_VECTOR (3 downto 0) ;
Cout : out STD_LOGIC
);
end ADDER1 ;

architecture ARCH of ADDER1 is
SIGNAL Y,C: STD_LOGIC_VECTOR (3 downto 0) ;
begin
Y(0) <= A(0) XOR B(0) XOR Cin ;
Y(1) <= A(1) XOR B(1) XOR C(0) ;
Y(2) <= A(2) XOR B(2) XOR C(1) ;
Y(3) <= A(3) XOR B(3) XOR C(2) ;
C(0) <= (Cin AND A(0)) OR (Cin AND B(0)) OR (A(0) AND B(0));
C(1) <= (C(0) AND A(1)) OR (C(0) AND B(1)) OR (A(1) AND B(1));
C(2) <= (C(1) AND A(2)) OR (C(1) AND B(2)) OR (A(2) AND B(2));
C(3) <= (C(2) AND A(3)) OR (C(2) AND B(3)) OR (A(3) AND B(3));
BCDout <= Y(3) & Y(2) & Y(1) & Y(0) ;
Cout <= C(3) ;
end ARCH ;

揪错 ┆ 评论 ┆ 举报