北京新源国能裁员:vhdl是什么

来源:百度文库 编辑:神马品牌网 时间:2024/04/28 05:47:21

VHDL的英文全写是:VHSIC(Very High Speed Integrated Circuit)Hardware Descriptiong Language.翻译成中文就是超高速集成电路硬件描述语言。

VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言 。

计算机语言的一种

VHDL 就是 VHSIC Hardware Description Language 的缩写,而 VHSIC 就是 Very High Speed Integrated Circuit 的缩写,其意义就是非常高速积体电路。所以 VHDL 就是非常高速积体电路的硬体描述语言。这是一项原由美国国防部 ( DoD, Department of Defense) 所支持的研究计画。为了将电子电路的设计意涵以文件方式保存下来,以便其它人能轻易地了解电路的设计意义。这就是VHDL的由来。

在1985年,美国国防部取得委托研究的第一版语言。随后,VHDL语言就转移给IEEE,并在1987年成为IEEE1076---1987标准。1988年,英国国防部规定所有官方的ASIC设计均需以VHDL为设计描述语言。所以VHDL就逐渐地成为工业界的标准"1993年,IEEE将IEEE1076---1981标准经过一些增修(新增一些功能、去除模糊部份以及保留往前共容等等)之后,规范了另一个新的VHDL标准------IEEE1164。1996年,IEEE将电路合成的程式标准与规格加入至VHDL电路设计语言中,称之为IEEE1076.3标准。

VHDL电路设计语言的规范目的,在於要提供一个高阶而且快电路设计工具,它涵盖电路描述(Description)电路合成与电路模拟(Simulation)等三个电路设计工作。

就像一些常用的程式设计语言(例如C、Pascal等高阶语言)用来描述计算数学函数或处理资料程序。程式的执行就是资料数值的计算。同样地,VHDL是一种描述数位系统,而VHDL程式的执行就是数位系统的电路模拟与电路合成。

上面的太罗嗦了通俗点,VHDL是用来编写可编程逻辑控制器(也就是俗称的PLD)的语言。用于描述逻辑电路。对于减少硬件电路有很大的帮助,与单片机配合使用可增加对手破译单片机程序的难度。