苏州好风光歌曲:VHDL中矢量与整数的转换程序

来源:百度文库 编辑:神马品牌网 时间:2024/04/30 18:01:09

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY FBI IS
PORT(AIN:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
AOUT:OUT INTEGER RANGE 15 DOWNTO 0);
END;
ARCHITECTURE ART OF FBI IS
BEGIN
AOUT<=0 WHEN AIN="0000" ELSE
1 WHEN AIN="0001" ELSE
2 WHEN AIN="0010" ELSE
3 WHEN AIN="0011" ELSE
4 WHEN AIN=4 ELSE
5 WHEN AIN=5 ELSE
6 WHEN AIN=6 ELSE
7 WHEN AIN=7 ELSE
8 WHEN AIN="1000" ELSE
9 WHEN AIN="1001" ELSE
10 WHEN AIN=10 ELSE
11 WHEN AIN=11 ELSE
12 WHEN AIN=12 ELSE
13 WHEN AIN=13 ELSE
14 WHEN AIN=14 ELSE
15 WHEN AIN=15;
END ART;

方法有点土。